Misplaced Pages

POWER3

Article snapshot taken from Wikipedia with creative commons attribution-sharealike license. Give it a read and then ask your questions in the chat. We can research this topic together.

The POWER3 is a microprocessor , designed and exclusively manufactured by IBM , that implemented the 64-bit version of the PowerPC instruction set architecture (ISA), including all of the optional instructions of the ISA (at the time) such as instructions present in the POWER2 version of the POWER ISA but not in the PowerPC ISA. It was introduced on 5 October 1998, debuting in the RS/6000 43P Model 260 , a high-end graphics workstation. The POWER3 was originally supposed to be called the PowerPC 630 but was renamed, probably to differentiate the server-oriented POWER processors it replaced from the more consumer-oriented 32-bit PowerPCs. The POWER3 was the successor of the P2SC derivative of the POWER2 and completed IBM's long-delayed transition from POWER to PowerPC, which was originally scheduled to conclude in 1995. The POWER3 was used in IBM RS/6000 servers and workstations at 200 MHz. It competed with the Digital Equipment Corporation (DEC) Alpha 21264 and the Hewlett-Packard (HP) PA-8500 .

#396603

116-576: The POWER3 was based on the PowerPC 620 , an earlier 64-bit PowerPC implementation that was late, under-performing and commercially unsuccessful. Like the PowerPC 620, the POWER3 has three fixed-point units , but the single floating-point unit (FPU) was replaced with two floating-point fused multiply–add units, and an extra load-store unit was added (for a total of two) to improve floating-point performance. The POWER3

232-520: A 3 μm process . The Hitachi HM6147 chip was able to match the performance (55/70   ns access) of the Intel 2147 HMOS chip, while the HM6147 also consumed significantly less power (15   mA ) than the 2147 (110   mA). With comparable performance and much less power consumption, the twin-well CMOS process eventually overtook NMOS as the most common semiconductor manufacturing process for computers in

348-419: A 350   nm CMOS process, while Hitachi and NEC commercialized 250   nm CMOS. Hitachi introduced a 160   nm CMOS process in 1995, then Mitsubishi introduced 150   nm CMOS in 1996, and then Samsung Electronics introduced 140   nm in 1999. In 2000, Gurtej Singh Sandhu and Trung T. Doan at Micron Technology invented atomic layer deposition High-κ dielectric films , leading to

464-414: A 0.5 μm CMOS process with four levels of interconnect. The die was 85 mm large drawing 2.2 W at 80 MHz. The 603 architecture is the direct ancestor to the PowerPC 750 architecture, marketed by Apple as the PowerPC "G3". The 603 was intended to be used for portable Apple Macintosh computers but could not run 68K emulation software with performance Apple considered adequate, due to

580-466: A 20   μm semiconductor manufacturing process before gradually scaling to a 10 μm process over the next several years. CMOS technology was initially overlooked by the American semiconductor industry in favour of NMOS, which was more powerful at the time. However, CMOS was quickly adopted and further advanced by Japanese semiconductor manufacturers due to its low power consumption, leading to

696-545: A CMOS IC chip for a Seiko quartz watch in 1969, and began mass-production with the launch of the Seiko Analog Quartz 38SQW watch in 1971. The first mass-produced CMOS consumer electronic product was the Hamilton Pulsar "Wrist Computer" digital watch, released in 1970. Due to low power consumption, CMOS logic has been widely used for calculators and watches since the 1970s. The earliest microprocessors in

812-460: A CMOS circuit. This example shows a NAND logic device drawn as a physical representation as it would be manufactured. The physical layout perspective is a "bird's eye view" of a stack of layers. The circuit is constructed on a P-type substrate. The polysilicon , diffusion, and n-well are referred to as "base layers" and are actually inserted into trenches of the P-type substrate. (See steps 1 to 6 in

928-482: A CMOS device: P = 0.5 C V 2 f {\displaystyle P=0.5CV^{2}f} . Since most gates do not operate/switch at every clock cycle , they are often accompanied by a factor α {\displaystyle \alpha } , called the activity factor. Now, the dynamic power dissipation may be re-written as P = α C V 2 f {\displaystyle P=\alpha CV^{2}f} . A clock in

1044-540: A L2 cache that may have a capacity of 128  MB , and more powerful branch and load/store units that had more buffers, the 620 was very powerful. The branch history table was also larger and could dispatch more instructions so that the processor can handle out-of-order execution more efficiently than the 604. The floating-point unit was also enhanced compared to the 604. With a faster fetch cycle and support for several key instructions in hardware (like sqrt) made it, combined with faster and wider data buses, more efficient than

1160-443: A PMOS transistor creates low resistance between its source and drain contacts when a low gate voltage is applied and high resistance when a high gate voltage is applied. On the other hand, the composition of an NMOS transistor creates high resistance between source and drain when a low gate voltage is applied and low resistance when a high gate voltage is applied. CMOS accomplishes current reduction by complementing every nMOSFET with

1276-666: A bridge chip). The bus later evolved into the GX bus of the POWER4 , and later GX+ and GX++ in POWER5 and POWER6 respectively. The GX bus is also used in IBM's z10 and z196 System z mainframes. The PowerPC 602 was a stripped-down version of PowerPC 603, specially made for game consoles by Motorola and IBM, introduced in February 1995. It has smaller L1 caches (4 KB instruction and 4 KB data),

SECTION 10

#1732854523397

1392-401: A brief spike in power consumption and becomes a serious issue at high frequencies. The adjacent image shows what happens when an input is connected to both a PMOS transistor (top of diagram) and an NMOS transistor (bottom of diagram). Vdd is some positive voltage connected to a power supply and Vss is ground. A is the input and Q is the output. When the voltage of A is low (i.e. close to Vss),

1508-456: A close relative of CMOS. He invented complementary flip-flop and inverter circuits, but did no work in a more complex complementary logic. He was the first person able to put p-channel and n-channel TFTs in a circuit on the same substrate. Three years earlier, John T. Wallmark and Sanford M. Marcus published a variety of complex logic functions implemented as integrated circuits using JFETs , including complementary memory circuits. Frank Wanlass

1624-499: A die measuring 74 mm . The 601+ design was remapped from CMOS-4s to CMOS-5x by an IBM-only team. To avoid time-to-market delays from design tool changes and commonizing fab groundrules, both the 601 and 601+ were designed with IBM EDA tools on IBM systems and were fabricated in IBM-only facilities. The PowerPC 603 was the first processor implementing the complete 32-bit PowerPC Architecture as specified. Introduced in 1994, it

1740-658: A four-cycle latency. Divide and square-root instructions are executed in the same FPUs, but are assisted by specialized hardware. Single-precision (32-bit) divide and square-root instructions have a 14-cycle latency, whereas double-precision (64-bit) divide and square-root instructions have an 18-cycle and a 22-cycle latency, respectively. After execution is completed, the instructions are held in buffers before being committed and made visible to software. Execution finishes in stage five for integer instructions and stage eight for floating-point. Committing occurs during stage six for integers, stage nine for floating-point. Writeback occurs in

1856-427: A four-stage pipeline and five execution units: integer unit, floating-point unit, branch prediction unit , load/store unit and a system registry unit. It has separate 8 KB L1 caches for instructions and data and a 32/64 bit 60x memory bus, reaching up to 120 MHz at 3.8 V. The 603 core did not have hardware support for SMP . The PowerPC 603 had 1.6 million transistors and was fabricated by IBM and Motorola in

1972-458: A high density of logic functions on a chip. It was primarily for this reason that CMOS became the most widely used technology to be implemented in VLSI chips. The phrase "metal–oxide–semiconductor" is a reference to the physical structure of MOS field-effect transistors , having a metal gate electrode placed on top of an oxide insulator, which in turn is on top of a semiconductor material . Aluminium

2088-445: A lower energy consumption. The die was 47 mm small manufactured on a 0.25 μm CMOS process with five levels of interconnect, and drew 6 W at 250 MHz. It operated at speeds between 250 and 400 MHz and supported a memory bus up to 100 MHz. While Apple dropped the 604ev in 1998 in favor for the PowerPC 750 , IBM kept using it in entry-level models of its RS/6000 computers for several years. The PowerPC 620

2204-482: A one-cycle latency. The third unit executes multiply and divide instructions. These instructions are not pipelined and have multi-cycle latencies. 64-bit multiply has a nine-cycle latency and 64-bit divide has a 37-cycle latency. Floating-point instructions are executed in two floating-point units (FPUs). The FPUs are capable of fused multiply–add , where multiplication and addition is performed simultaneously. Such instructions, along with individual add and multiply, have

2320-431: A pMOSFET and connecting both gates and both drains together. A high voltage on the gates will cause the nMOSFET to conduct and the pMOSFET not to conduct, while a low voltage on the gates causes the reverse. This arrangement greatly reduces power consumption and heat generation. However, during the switching time, both pMOS and nMOS MOSFETs conduct briefly as the gate voltage transitions from one state to another. This induces

2436-512: A rectangular piece of silicon of often between 10 and 400 mm . CMOS always uses all enhancement-mode MOSFETs (in other words, a zero gate-to-source voltage turns the transistor off). CMOS circuits are constructed in such a way that all P-type metal–oxide–semiconductor (PMOS) transistors must have either an input from the voltage source or from another PMOS transistor. Similarly, all NMOS transistors must have either an input from ground or from another NMOS transistor. The composition of

SECTION 20

#1732854523397

2552-483: A single-precision floating-point unit and a scaled back branch prediction unit. It was offered at speeds ranging from 50 to 80 MHz, and drew 1.2 W at 66 MHz. It consisted of 1 million transistors and it was 50 mm large manufactured in a 0.5 μm, CMOS process with four levels of interconnect. 3DO developed the M2 game console that used two PowerPC 602, but it was never marketed. On October 21, 1996,

2668-413: A small period of time in which current will find a path directly from V DD to ground, hence creating a short-circuit current , sometimes called a crowbar current. Short-circuit power dissipation increases with the rise and fall time of the transistors. This form of power consumption became significant in the 1990s as wires on chip became narrower and the long wires became more resistive. CMOS gates at

2784-425: A system has an activity factor α=1, since it rises and falls every cycle. Most data has an activity factor of 0.1. If correct load capacitance is estimated on a node together with its activity factor, the dynamic power dissipation at that node can be calculated effectively. Since there is a finite rise/fall time for both pMOS and nMOS, during transition, for example, from off to on, both the transistors will be on for

2900-443: A trade-off for devices to become slower. To speed up designs, manufacturers have switched to constructions that have lower voltage thresholds but because of this a modern NMOS transistor with a V th of 200 mV has a significant subthreshold leakage current. Designs (e.g. desktop processors) which include vast numbers of circuits which are not actively switching still consume power because of this leakage current. Leakage power

3016-632: A variety of RS/6000 workstations and SMP servers from IBM and Groupe Bull . IBM was the sole manufacturer of the 601 and 601+ microprocessors in its Burlington, Vermont and East Fishkill, New York production facilities. The 601 used the IBM CMOS-4s process and the 601+ used the IBM CMOS-5x process. An extremely small number of these 601 and 601+ processors were relabeled with Motorola logos and part numbers and distributed through Motorola. These facts are somewhat obscured given there are various pictures of

3132-476: A variety of design flaws, some of them severe, related to other aspects of the computers' design, including networking performance and stability, bus problems (width, speed, contention, and complexity), ROM bugs, and hard disk performance. None of the problems of the 5200 line, aside from 68K emulation performance, were inherently due to the 603. Rather, the processor was retrofitted to be used with 68K motherboards and other obsolete parts. The site Low End Mac rates

3248-439: Is a superscalar design that executed instructions out of order . It has a seven-stage integer pipeline, a minimal eight-stage load/store pipeline and a ten-stage floating-point pipeline. The front end consists of two stages: fetch and decode. During the first stage, eight instructions were fetched from a 32 KB instruction cache and placed in a 12-entry instruction buffer. During the second stage, four instructions were taken from

3364-405: Is a 32- or 64-bit 60x bus that operates at clock rates up to 50 MHz. The PowerPC 604 contains 3.6 million transistors and was fabricated by IBM and Motorola with a 0.5 μm CMOS process with four levels of interconnect. The die measured 12.4 mm by 15.8 mm (196 mm ) and drew 14-17 W at 133 MHz. It operated at speeds between 100 and 180 MHz. The PowerPC 604e

3480-471: Is a significant portion of the total power consumed by such designs. Multi-threshold CMOS (MTCMOS), now available from foundries, is one approach to managing leakage power. With MTCMOS, high V th transistors are used when switching speed is not critical, while low V th transistors are used in speed sensitive paths. Further technology advances that use even thinner gate dielectrics have an additional leakage component because of current tunnelling through

3596-411: Is a type of metal–oxide–semiconductor field-effect transistor (MOSFET) fabrication process that uses complementary and symmetrical pairs of p-type and n-type MOSFETs for logic functions. CMOS technology is used for constructing integrated circuit (IC) chips, including microprocessors , microcontrollers , memory chips (including CMOS BIOS ), and other digital logic circuits. CMOS technology

POWER3 - Misplaced Pages Continue

3712-456: Is also used for analog circuits such as image sensors ( CMOS sensors ), data converters , RF circuits ( RF CMOS ), and highly integrated transceivers for many types of communication. In 1948, Bardeen and Brattain patented an insulated-gate transistor (IGFET) with an inversion layer. Bardeen's concept forms the basis of CMOS technology today. The CMOS process was presented by Fairchild Semiconductor 's Frank Wanlass and Chih-Tang Sah at

3828-405: Is connected to V SS and an N-type n-well tap is connected to V DD to prevent latchup . CMOS logic dissipates less power than NMOS logic circuits because CMOS dissipates power only when switching ("dynamic power"). On a typical ASIC in a modern 90 nanometer process, switching the output might take 120 picoseconds, and happens once every ten nanoseconds. NMOS logic dissipates power whenever

3944-457: Is connected together in metal (illustrated in cyan coloring). Connections between metal and polysilicon or diffusion are made through contacts (illustrated as black squares). The physical layout example matches the NAND logic circuit given in the previous example. The N device is manufactured on a P-type substrate while the P device is manufactured in an N-type well (n-well). A P-type substrate "tap"

4060-594: Is sometimes called PowerPC 603ev . The 603e and 603ev have 2.6 million transistors each and are 98 mm and 78 mm large respectively. The 603ev draws a maximum of 6 W at 300 MHz. The PowerPC 603e was the first mainstream desktop processor to reach 300 MHz, as used in the Power Macintosh 6500 . The 603e was also used in accelerator cards from Phase5 for the Amiga line of computers, with CPUs ranging in speeds from 160 to 240 MHz. The PowerPC 603e

4176-526: Is still sold today by IBM and Freescale, and others like Atmel and Honeywell who makes the radiation hardened variant RHPPC . The PowerPC 603e was also the heart of the BeBox from Be Inc. The BeBox is notable since it is a multiprocessing system, something the 603 wasn't designed for. IBM also used PowerPC 603e processors in the IBM ThinkPad 800 series . In certain digital oscilloscope series, LeCroy used

4292-465: Is that both low-to-high and high-to-low output transitions are fast since the (PMOS) pull-up transistors have low resistance when switched on, unlike the load resistors in NMOS logic. In addition, the output signal swings the full voltage between the low and high rails. This strong, more nearly symmetric response also makes CMOS more resistant to noise. See Logical effort for a method of calculating delay in

4408-447: Is the duality that exists between its PMOS transistors and NMOS transistors. A CMOS circuit is created to allow a path always to exist from the output to either the power source or ground. To accomplish this, the set of all paths to the voltage source must be the complement of the set of all paths to ground. This can be easily accomplished by defining one in terms of the NOT of the other. Due to

4524-456: Is very small compared to sub threshold and tunnelling currents, so these may be neglected during power calculations. If the ratios do not match, then there might be different currents of PMOS and NMOS; this may lead to imbalance and thus improper current causes the CMOS to heat up and dissipate power unnecessarily. Furthermore, recent studies have shown that leakage power reduces due to aging effects as

4640-447: The Crusoe processor. With progress having been demonstrated in the development of dynamic translation software, such as Digital's FX!32 technology, skepticism was expressed about dedicating hardware resources to running foreign binaries when such resources could be used to improve native performance instead, this also benefiting the performance of translated binaries. "PowerPC 625" was

4756-487: The International Solid-State Circuits Conference in 1963. Wanlass later filed US patent 3,356,858 for CMOS circuitry and it was granted in 1967. RCA commercialized the technology with the trademark "COS-MOS" in the late 1960s, forcing other manufacturers to find another name, leading to "CMOS" becoming the standard name for the technology by the early 1970s. CMOS overtook NMOS logic as

POWER3 - Misplaced Pages Continue

4872-517: The fabless semiconductor company Quantum Effect Devices (QED) announced a PowerPC 603-compatible processor named " PowerPC 603q " at the Microprocessor Forum . Despite its name, it did not have anything in common with any other 603. It was a from the ground up implementation of the 32-bit PowerPC architecture targeted at the high-end embedded market developed over two years. As such, it was small, simple, energy efficient, but powerful; equaling

4988-459: The "Motorola MPC601", particularly one specific case of masterful Motorola marketing where the 601 was named one of Time Magazine ' s 1994 "Products of the Year" with a Motorola marking. An updated version, the PowerPC 601v or PowerPC 601+ , operating at 90 to 120 MHz was introduced in 1994. It was fabricated in a newer 0.5 μm CMOS process with four levels of interconnect, resulting in

5104-431: The 1970s. The Intel 5101 (1   kb SRAM ) CMOS memory chip (1974) had an access time of 800   ns , whereas the fastest NMOS chip at the time, the Intel 2147 (4   kb SRAM) HMOS memory chip (1976), had an access time of 55/70   ns. In 1978, a Hitachi research team led by Toshiaki Masuhara introduced the twin-well Hi-CMOS process, with its HM6147 (4   kb SRAM) memory chip, manufactured with

5220-485: The 1980s. In the 1980s, CMOS microprocessors overtook NMOS microprocessors. NASA 's Galileo spacecraft, sent to orbit Jupiter in 1989, used the RCA 1802 CMOS microprocessor due to low power consumption. Intel introduced a 1.5 μm process for CMOS semiconductor device fabrication in 1983. In the mid-1980s, Bijan Davari of IBM developed high-performance, low-voltage, deep sub-micron CMOS technology, which enabled

5336-471: The 88110 bus as the basis for the 60x bus helped schedules in a number of ways. It helped the Apple Power Macintosh team by reducing the amount of redesign of their support ASICs and it reduced the amount of time required for the processor designers and architects to propose, document, negotiate, and close a new bus interface (successfully avoiding the "Bus Wars" expected by the 601 management team if

5452-445: The 88110 bus or the previous RSC buses hadn't been adopted). Worthy to note is that accepting the 88110 bus for the benefit of Apple's efforts and the alliance was at the expense of the first IBM RS/6000 system design team's efforts who had their support ASICs already implemented around the RSC's totally different bus structure. This 60x bus later became a fairly long lived basic interface for

5568-462: The A or B inputs is low, one of the NMOS transistors will not conduct, one of the PMOS transistors will, and a conductive path will be established between the output and V dd (voltage source), bringing the output high. As the only configuration of the two inputs that results in a low output is when both are high, this circuit implements a NAND (NOT AND) logic gate. An advantage of CMOS over NMOS logic

5684-495: The Apple system design team was familiar with the I/O bus structure from Motorola's 88110 and this I/O bus implementation was well defined and documented, the 601 team adopted the bus technology to improve time to market. The bus was renamed the 60x bus once implemented on the 601. These Motorola (and a small number of Apple) designers joined over 120 IBM designers in creating the 601. Using

5800-456: The CMOS device. Clamp diodes are included in CMOS circuits to deal with these signals. Manufacturers' data sheets specify the maximum permitted current that may flow through the diodes. Besides digital applications, CMOS technology is also used in analog applications. For example, there are CMOS operational amplifier ICs available in the market. Transmission gates may be used as analog multiplexers instead of signal relays . CMOS technology

5916-511: The FPU in the 604. The system bus was a wider and faster 128-bit memory bus called the 6XX bus . It was designed to be a system bus for multiprocessor systems where processors, caches, memory and I/O was to be connected, assisted by a system control chip. It supports both 32- and 64-bit PowerPC processors, memory addresses larger than 32 bits, and NUMA environments. It was also used in POWER3, RS64 and 601, as well as 604-based RS/6000 systems (with

SECTION 50

#1732854523397

6032-451: The MOSFET pair is always off, the series combination draws significant power only momentarily during switching between on and off states. Consequently, CMOS devices do not produce as much waste heat as other forms of logic, like NMOS logic or transistor–transistor logic (TTL), which normally have some standing current even when not changing state. These characteristics allow CMOS to integrate

6148-450: The NMOS transistor's channel is in a high resistance state, disconnecting Vss from Q. The PMOS transistor's channel is in a low resistance state, connecting Vdd to Q. Q, therefore, registers Vdd. On the other hand, when the voltage of A is high (i.e. close to Vdd), the PMOS transistor is in a high resistance state, disconnecting Vdd from Q. The NMOS transistor is in a low resistance state, connecting Vss to Q. Now, Q registers Vss. In short,

6264-416: The PMOS transistors (top half) will conduct, and a conductive path will be established between the output and V ss (ground), bringing the output low. If both of the A and B inputs are low, then neither of the NMOS transistors will conduct, while both of the PMOS transistors will conduct, establishing a conductive path between the output and V dd (voltage source), bringing the output high. If either of

6380-519: The Performa 5200 as the worst Mac of all-time. The 603 found widespread use in different embedded appliances. The performance issues of the 603 were addressed in the PowerPC 603e . The L1 cache was enlarged and enhanced to 16 KB four-way set-associative data and instruction caches. The clock speed of the processors was doubled too, reaching 200 MHz. Shrinking the fabrication process to 350 nm allowed for speeds of up to 300 MHz. This part

6496-638: The PowerPC 601 in 1993, and the second generation soon followed with the PowerPC 603, PowerPC 604 and the 64-bit PowerPC 620. The PowerPC 601 was the first generation of microprocessors to support the basic 32-bit PowerPC instruction set . The design effort started in earnest in mid-1991 and the first prototype chips were available in October 1992. The first 601 processors were introduced in an IBM RS/6000 workstation in October 1993 (alongside its more powerful multichip cousin IBM POWER2 line of processors) and

6612-621: The PowerPC 603e as the main processor. The 603e processors also power all 66 satellites in the Iridium satellite phone fleet. The satellites each contain seven Motorola/Freescale PowerPC 603e processors running at roughly 200 MHz each. A custom 603e processor is also used in the Mark 54 Lightweight Torpedo . The PowerPC 603e core, renamed G2 by Freescale , is the basis for many embedded PowerQUICC II processors, and, as such, it keeps on being developed. Freescale's PowerQUICC II SoC processors bear

6728-492: The PowerPC 620, there were more rename registers, which allowed more instructions to be executed out of order, improving performance. Execution begins in stage four. The instruction queues dispatch up to eight instructions to the execution units. Integer instructions are executed in three integer execution units (termed "fixed-point units" by IBM). Two of the units are identical and execute all integer instructions except for multiply and divide. All instructions executed by them have

6844-414: The best performance per watt each year have been CMOS static logic since 1976. As of 2019, planar CMOS technology is still the most common form of semiconductor device fabrication, but is gradually being replaced by non-planar FinFET technology, which is capable of manufacturing semiconductor nodes smaller than 20   nm . "CMOS" refers to both a particular style of digital circuitry design and

6960-581: The completely different unified I/O bus structure and SMP/ memory coherency support. New PowerPC changes, leveraging the basic RSC structure was very beneficial to reducing the uncertainty in chip area/floorplanning and timing analysis/tuning. Worth noting is that the 601 not only implemented substantial new key functions such as SMP, but it also acted as a bridge between the POWER and the future PowerPC processors to assist IBM and software developers in their transitions to PowerPC. From start of design to tape-out of

7076-412: The concept of an inversion layer, forms the basis of CMOS technology today. A new type of MOSFET logic combining both the PMOS and NMOS processes was developed, called complementary MOS (CMOS), by Chih-Tang Sah and Frank Wanlass at Fairchild. In February 1963, they published the invention in a research paper . In both the research paper and the patent filed by Wanlass, the fabrication of CMOS devices

SECTION 60

#1732854523397

7192-430: The corresponding supply voltage, modelling an AND. When a path consists of two transistors in parallel, either one or both of the transistors must have low resistance to connect the supply voltage to the output, modelling an OR. Shown on the right is a circuit diagram of a NAND gate in CMOS logic. If both of the A and B inputs are high, then both the NMOS transistors (bottom half of the diagram) will conduct, neither of

7308-563: The design leveraged a number of key technologies and project management strategies. The 601 team leveraged much of the basic structure and portions of the IBM RISC Single Chip (RSC) processor, but also included support for the vast majority of the new PowerPC instructions not in the POWER instruction set . While nearly every portion of the RSC design was modified, and many design blocks were substantially modified or completely redesigned given

7424-588: The designation MPC82xx, and come in a variety of configurations reaching 450 MHz. The G2 name is also used as a retronym for the 603e and 604 processors to align with the G3, G4, and the G5. Freescale has enhanced the 603e core, calling it e300 , in the PowerQUICC II Pro embedded processors. Larger 32/32 KB L1 caches and other performance enhancing measures were added. Freescale's PowerQUICC II Pro SoC processors bear

7540-541: The designation MPC83xx, and come in a variety of configurations reaching speeds up to 667 MHz. The e300 is also the core of the MPC5200B SoC processor that is used in the small EFIKA computer. The PowerPC 604 was introduced in December 1994 alongside the 603 and was designed as a high-performance chip for workstations and entry-level servers and as such had support for symmetric multiprocessing in hardware. The 604

7656-433: The development of a cost-effective 90 nm CMOS process. Toshiba and Sony developed a 65 nm CMOS process in 2002, and then TSMC initiated the development of 45 nm CMOS logic in 2004. The development of pitch double patterning by Gurtej Singh Sandhu at Micron Technology led to the development of 30   nm class CMOS in the 2000s. CMOS is used in most modern LSI and VLSI devices. As of 2010, CPUs with

7772-416: The development of faster computers as well as portable computers and battery-powered handheld electronics . In 1988, Davari led an IBM team that demonstrated a high-performance 250 nanometer CMOS process. Fujitsu commercialized a 700   nm CMOS process in 1987, and then Hitachi, Mitsubishi Electric , NEC and Toshiba commercialized 500   nm CMOS in 1989. In 1993, Sony commercialized

7888-477: The device; M. O. Thurston, L. A. D'Asaro, and J. R. Ligenza who developed the diffusion processes, and H. K. Gummel and R. Lindner who characterized the device. There were originally two types of MOSFET logic, PMOS ( p-type MOS) and NMOS ( n-type MOS). Both types were developed by Frosch and Derrick in 1957 at Bell Labs. In 1948, Bardeen and Brattain patented the progenitor of MOSFET, an insulated-gate FET (IGFET) with an inversion layer. Bardeen's patent, and

8004-497: The die had an area of 311 mm . It operated at clock rates between 120 and 150 MHz, and drew 30 W at 133 MHz. A later model was built using a 0.35 μm process, enabling it to reach 200 MHz. The 620 was similar to the 604. It has a five-stage pipeline, same support for symmetric multiprocessing and the same number of execution units; a load/store unit, a branch unit, an FPU, and three integer units. With larger 32 KB instruction and data caches, support for

8120-545: The dominant MOSFET fabrication process for very large-scale integration (VLSI) chips in the 1980s, also replacing earlier transistor–transistor logic (TTL) technology. CMOS has since remained the standard fabrication process for MOSFET semiconductor devices in VLSI chips. As of 2011 , 99% of IC chips, including most digital , analog and mixed-signal ICs, were fabricated using CMOS technology. Two important characteristics of CMOS devices are high noise immunity and low static power consumption . Since one transistor of

8236-478: The early 1970s were PMOS processors, which initially dominated the early microprocessor industry. By the late 1970s, NMOS microprocessors had overtaken PMOS processors. CMOS microprocessors were introduced in 1975, with the Intersil 6100 , and RCA CDP 1801 . However, CMOS processors did not become dominant until the 1980s. CMOS was initially slower than NMOS logic , thus NMOS was more widely used for computers in

8352-497: The early name for the Apache series 64-bit PowerPC processors, designed by IBM based on the "Amazon" PowerPC-AS instruction set. They were later renamed " RS64 ". The designation "PowerPC 625" was never used for the final processors. "PowerPC 630" was the early name for the high end 64-bit PowerPC processor, designed by IBM to unify the POWER and PowerPC instruction sets. It was later renamed " POWER3 ", probably to distinguish it from

8468-444: The end of those resistive wires see slow input transitions. Careful design which avoids weakly driven long skinny wires reduces this effect, but crowbar power can be a substantial part of dynamic CMOS power. Parasitic transistors that are inherent in the CMOS structure may be turned on by input signals outside the normal operating range, e.g. electrostatic discharges or line reflections . The resulting latch-up may damage or destroy

8584-585: The extremely thin gate dielectric. Using high-κ dielectrics instead of silicon dioxide that is the conventional gate dielectric allows similar device performance, but with a thicker gate insulator, thus avoiding this current. Leakage power reduction using new material and system designs is critical to sustaining scaling of CMOS. CMOS circuits dissipate power by charging the various load capacitances (mostly gate and wire capacitance, but also drain and some source capacitances) whenever they are switched. In one complete cycle of CMOS logic, current flows from V DD to

8700-411: The family of processes used to implement that circuitry on integrated circuits (chips). CMOS circuitry dissipates less power than logic families with resistive loads. Since this advantage has increased and grown more important, CMOS processes and variants have come to dominate, thus the vast majority of modern integrated circuit manufacturing is on CMOS processes. CMOS logic consumes around one seventh

8816-452: The first 601 prototype was just 12 months in order to push hard to establish PowerPC on the market early. In order to help the effort to rapidly incorporate the 88110 bus architecture to the 601 for the benefit of the alliance and its customers, Motorola management provided not only the 88110 bus architecture specifications, but also a handful of 88110 bus-literate designers to help with the 60x bus logic implementation and verification. Given

8932-599: The first Apple Power Macintoshes on March 14, 1994. The 601 was the first advanced single-chip implementation of the POWER/PowerPC architecture designed on a crash schedule to establish PowerPC in the marketplace and cement the AIM alliance. In order to achieve an extremely aggressive schedule while including substantially new functionality (such as substantial performance enhancements, new instructions and importantly POWER/PowerPC's first symmetric multiprocessing (SMP) implementation)

9048-499: The first supporting three integer execution units and the second supporting the two load/store units. This scheme was similar to a contemporary microprocessor, the DEC Alpha 21264 , but was simpler as it did not require an extra clock cycle to synchronize the two copies due to the POWER3's higher cycle times. The floating-point register file contains 56 registers, of which 32 are floating-point registers and 24 rename registers. Compared to

9164-433: The fourth generation PowerPC even though the architectural differences between "G3" and "G4" was small. There are hardly any sources confirming any of this though and it might be pure speculation, or a reference to a completely different processor. The " PowerPC 615 " is a PowerPC processor announced by IBM in 1994, but which never reached mass production . Its main feature was to incorporate an x86 core on die, thus making

9280-522: The input. The transistors' resistances are never exactly equal to zero or infinity, so Q will never exactly equal Vss or Vdd, but Q will always be closer to Vss than A was to Vdd (or vice versa if A were close to Vss). Without this amplification, there would be a very low limit to the number of logic gates that could be chained together in series, and CMOS logic with billions of transistors would be impossible. The power supply pins for CMOS are called V DD and V SS , or V CC and Ground(GND) depending on

9396-479: The instruction buffer, decoded, and issued to instruction queues. Restrictions on instruction issue are few: of the two integer instruction queues, only one can accept one instruction, the other can accept up to four, as does the floating-point instruction queue. If the queues do not have enough unused entries, instructions cannot be issued. The front end has a short pipeline, resulting in a small three-cycle branch misprediction penalty . In stage three, instructions in

9512-407: The instruction queues that are ready for execution have their operands read from the register files. The general-purpose register file contains 48 registers, of which 32 are general-purpose registers and 16 are rename registers for register renaming . To reduce the number of ports required to provide data and receive results, the general purpose register file is duplicated so that there are two copies,

9628-425: The load capacitance to charge it and then flows from the charged load capacitance (C L ) to ground during discharge. Therefore, in one complete charge/discharge cycle, a total of Q=C L V DD is thus transferred from V DD to ground. Multiply by the switching frequency on the load capacitances to get the current used, and multiply by the average voltage again to get the characteristic switching power dissipated by

9744-444: The logic based on De Morgan's laws , the PMOS transistors in parallel have corresponding NMOS transistors in series while the PMOS transistors in series have corresponding NMOS transistors in parallel. More complex logic functions such as those involving AND and OR gates require manipulating the paths between gates to represent the logic. When a path consists of two transistors in series, both transistors must have low resistance to

9860-408: The manufacturer. V DD and V SS are carryovers from conventional MOS circuits and stand for the drain and source supplies. These do not apply directly to CMOS, since both supplies are really source supplies. V CC and Ground are carryovers from TTL logic and that nomenclature has been retained with the introduction of the 54C/74C line of CMOS. An important characteristic of a CMOS circuit

9976-432: The many variants of the 601, 603, 604, G3 , G4 and Motorola/Freescale PowerQUICC processors. The chip was designed to suit a wide variety of applications and had support for external L2 cache and symmetric multiprocessing . It had four functional units, including a floating-point unit , an integer unit , a branch unit and a sequencer unit. The processor also included a memory management unit . The integer pipeline

10092-442: The more consumer oriented "PowerPC" processors used by Apple . "PowerPC 641" , codename Habanero , is a defunct PowerPC project by IBM in the 1994–96 timeframe. It has been suggested that was the third generation PowerPC based on the 604 processor. Complementary metal%E2%80%93oxide%E2%80%93semiconductor Complementary metal–oxide–semiconductor ( CMOS , pronounced "sea-moss ", / s iː m ɑː s / , /- ɒ s / )

10208-492: The more expensive 603e while drawing less power. It had an in-order, five-stage pipeline with a single integer unit, a double-precision floating-point unit (FPU) and separate 16 KB instruction and 8 KB data caches. While the integer unit was a brand new design, the FPU was derived from the R4600 to save time. It was 69 mm small using a 0.5 μm fabrication process and drew just 1.2 W at 120 MHz. The 603q

10324-401: The outputs of the PMOS and NMOS transistors are complementary such that when the input is low, the output is high, and when the input is high, the output is low. No matter what the input is, the output is never left floating (charge is never stored due to wire capacitance and lack of electrical drain/ground). Because of this behavior of input and output, the CMOS circuit's output is the inverse of

10440-583: The power consumption per unit area of the chip has risen tremendously. Broadly classifying, power dissipation in CMOS circuits occurs because of two components, static and dynamic: Both NMOS and PMOS transistors have a gate–source threshold voltage (V th ), below which the current (called sub threshold current) through the device will drop exponentially. Historically, CMOS circuits operated at supply voltages much larger than their threshold voltages (V dd might have been 5 V, and V th for both NMOS and PMOS might have been 700 mV). A special type of

10556-479: The power of NMOS logic , and about 10 million times less power than bipolar transistor-transistor logic (TTL). CMOS circuits use a combination of p-type and n-type metal–oxide–semiconductor field-effect transistor (MOSFETs) to implement logic gates and other digital circuits. Although CMOS logic can be implemented with discrete devices for demonstrations, commercial CMOS products are integrated circuits composed of up to billions of transistors of both types, on

10672-512: The process diagram below right) The contacts penetrate an insulating layer between the base layers and the first layer of metal (metal1) making a connection. The inputs to the NAND (illustrated in green color) are in polysilicon. The transistors (devices) are formed by the intersection of the polysilicon and diffusion; N diffusion for the N device & P diffusion for the P device (illustrated in salmon and yellow coloring respectively). The output ("out")

10788-475: The processor able to natively process both PowerPC and x86 instructions. An operating system running on PowerPC 615 could either choose to execute 32-bit or 64-bit PowerPC instructions, 32-bit x86 instructions or a mix of three. Mixing instructions would involve a context switch in the CPU with a small overhead. The only operating systems that supported the 615 were Minix and a special development version of OS/2 . It

10904-479: The rise of the Japanese semiconductor industry. Toshiba developed C MOS (Clocked CMOS), a circuit technology with lower power consumption and faster operating speed than ordinary CMOS, in 1969. Toshiba used its C MOS technology to develop a large-scale integration (LSI) chip for Sharp 's Elsi Mini LED pocket calculator , developed in 1971 and released in 1972. Suwa Seikosha (now Seiko Epson ) began developing

11020-535: The smaller processor caches. As a result, Apple chose to only use the 603 in its low-cost desktop Performa line. This caused the delay of the Apple PowerBook 5300 and PowerBook Duo 2300 , as Apple chose to wait for a processor revision. Apple's use of the 603 in the Performa 5200 line led to the processor getting a poor reputation. Aside from the issue of 68K emulation performance, the Performa machines shipped with

11136-399: The stage after commit. The POWER3 can retire up to four instructions per cycle. The PowerPC 620 data cache was optimized for technical and scientific applications. Its capacity was doubled to 64 KB, to improve the cache-hit rate; the cache was dual-ported, implemented by interleaving eight banks, to enable two loads or two stores to be performed in one cycle in certain cases; and the line-size

11252-434: The transistor is on, because there is a current path from V dd to V ss through the load resistor and the n-type network. Static CMOS gates are very power efficient because they dissipate nearly zero power when idle. Earlier, the power consumption of CMOS devices was not the major concern while designing chips. Factors like speed and area dominated the design parameters. As the CMOS technology moved below sub-micron levels

11368-659: The transistor used in some CMOS circuits is the native transistor , with near zero threshold voltage . SiO 2 is a good insulator, but at very small thickness levels electrons can tunnel across the very thin insulation; the probability drops off exponentially with oxide thickness. Tunnelling current becomes very important for transistors below 130 nm technology with gate oxides of 20 Å or thinner. Small reverse leakage currents are formed due to formation of reverse bias between diffusion regions and wells (for e.g., p-type diffusion vs. n-well), wells and substrate (for e.g., n-well vs. p-substrate). In modern process diode leakage

11484-413: The wafer. J.R. Ligenza and W.G. Spitzer studied the mechanism of thermally grown oxides and fabricated a high quality Si/ SiO 2 stack in 1960. Following this research, Mohamed Atalla and Dawon Kahng proposed a silicon MOS transistor in 1959 and successfully demonstrated a working MOS device with their Bell Labs team in 1960. Their team included E. E. LaBate and E. I. Povilonis who fabricated

11600-407: Was 121 mm large and contained 2.8 million transistors. The 601 has a 32 KB unified L1 cache , a capacity that was considered large at the time for an on-chip cache. Thanks partly to the large cache it was considered a high performance processor in its segment, outperforming the competing Intel Pentium . The PowerPC 601 was used in the first Power Macintosh computers from Apple , and in

11716-453: Was 148 mm or 96 mm large, manufactured by Motorola and IBM respectively, drawing 16–18 W at 233 MHz. It operated at speeds between 166 and 233 MHz and supported a memory bus up to 66 MHz. The PowerPC 604ev , 604r or "Mach 5" was introduced in August 1997 and was essentially a 604e fabricated by IBM and Motorola with a newer process, reaching higher speeds with

11832-401: Was 330 mm large and manufactured by IBM on a 0.35 μm process. It was pin compatible with Intel 's Pentium processors and comparable in speed. The processor was introduced only as a prototype and the program was killed in part by the fact that Microsoft never supported the processor. Engineers working on the PowerPC 615 would later find their way to Transmeta , where they worked on

11948-492: Was an advanced design for its day, being one of the first microprocessors to offer dual issue (up to three with branch folding) and out-of-order execution combined with low power consumption of 2.2 W and a small die of 85 mm . It was designed to be a low cost, low power processor for portable applications. One of the main features was power saving functions (doze, nap and sleep mode) that could dramatically reduce power requirements, drawing only 2 mW in sleep mode. The 603 has

12064-434: Was designed for Motorola, but they withdrew from the contract before the 603q went into full production. As a result, the 603q was canceled as QED could not continue to market the processor since they lacked a PowerPC license of their own. "PowerPC 613" seems to be a name Motorola had given a third generation PowerPC. It supposedly was renamed " PowerPC 750 " in response to Exponential Technology 's x704 processor that

12180-453: Was designed to outgun the 604 by a wide margin. There are hardly any sources confirming any of this though and it might be pure speculation, or a reference to a completely different processor. Similar to PowerPC 613, the "PowerPC 614" might have been a name given by Motorola to a third generation PowerPC, and later renamed by the same reason as 613. It's been suggested that the part was renamed " PowerPC 7400 ", and Motorola even bumped it to

12296-442: Was familiar with work done by Weimer at RCA. In 1955, Carl Frosch and Lincoln Derick accidentally grew a layer of silicon dioxide over the silicon wafer, for which they observed surface passivation effects. By 1957 Frosch and Derrick, using masking and predeposition, were able to manufacture silicon dioxide transistors and showed that silicon dioxide insulated, protected silicon wafers and prevented dopants from diffusing into

12412-525: Was four stages long, the branch pipeline two stages long, the memory pipeline five stages long, and the floating-point pipeline six stages long. First launched in IBM systems in the fall of 1993, it was marketed by IBM as the PPC601 and by Motorola as the MPC601. It operated at speeds ranging from 50 to 80 MHz. It was fabricated using a 0.6 μm CMOS process with four levels of aluminum interconnect . The die

12528-469: Was increased to 128-bytes. The L2 cache bus was doubled in width to 256 bits to compensate for the larger cache line size and to retain a four-cycle latency for cache refills. The POWER3 contained 15 million transistors on a 270 mm die. It was fabricated in IBM's CMOS-6S2 process, a complementary metal–oxide–semiconductor process that is a hybrid of 0.25 μm feature sizes and 0.35 μm metal layers. The process features five layers of aluminium. It

12644-451: Was introduced in July 1996 and added a condition register unit and separate 32 KB data and instruction L1 caches among other changes to its memory subsystem and branch prediction unit, resulting in a 25% performance increase compared to its predecessor. It had 5.1 million transistors and was manufactured by IBM and Motorola on a 0.35 μm CMOS process with five levels of interconnect. The die

12760-489: Was once used but now the material is polysilicon . Other metal gates have made a comeback with the advent of high-κ dielectric materials in the CMOS process, as announced by IBM and Intel for the 45 nanometer node and smaller sizes. The principle of complementary symmetry was first introduced by George Sziklai in 1953 who then discussed several complementary bipolar circuits. Paul Weimer , also at RCA , invented in 1962 thin-film transistor (TFT) complementary circuits,

12876-488: Was outlined, on the basis of thermal oxidation of a silicon substrate to yield a layer of silicon dioxide located between the drain contact and the source contact. CMOS was commercialised by RCA in the late 1960s. RCA adopted CMOS for the design of integrated circuits (ICs), developing CMOS circuits for an Air Force computer in 1965 and then a 288- bit CMOS SRAM memory chip in 1968. RCA also used CMOS for its 4000-series integrated circuits in 1968, starting with

12992-567: Was packaged in the same 1,088-column ceramic column grid array as the P2SC , but with a different pin out. The POWER3-II was an improved POWER3 that increased the clock frequency to 450 MHz. It contains 23 million transistors and measured 170 mm. It was fabricated in the IBM CMOS7S process, a 0.22 μm CMOS process with six levels of copper interconnect . It was succeeded by the POWER4 in 2001. PowerPC 620 The PowerPC 600 family

13108-555: Was the first family of PowerPC processors built. They were designed at the Somerset facility in Austin, Texas , jointly funded and staffed by engineers from IBM and Motorola as a part of the AIM alliance . Somerset was opened in 1992 and its goal was to make the first PowerPC processor and then keep designing general purpose PowerPC processors for personal computers . The first incarnation became

13224-432: Was the first implementation of the entire 64-bit PowerPC architecture. It was a second generation PowerPC alongside the 603 and 604, but geared towards the high-end workstation and server market. It was powerful on paper and was initially supposed to be launched alongside its brethren but it was delayed until 1997. When it did arrive, the performance was comparably poor and the considerably cheaper 604e surpassed it. The 620

13340-441: Was therefore never produced in large quantities and found very little use. The sole user of PowerPC 620 was Groupe Bull in its Escala UNIX machines, but they didn't deliver any large numbers. IBM, which intended to use it in workstations and servers, decided to wait for the even more powerful RS64 and POWER3 64-bit processors instead. The 620 was produced by Motorola in a 0.5 μm process. It had 6.9 million transistors and

13456-777: Was used extensively in Apple 's high-end systems and was also used in Macintosh clones , IBM's low-end RS/6000 servers and workstations, Amiga accelerator boards, and as an embedded CPU for telecom applications. The 604 is a superscalar processor capable of issuing four instructions simultaneously. The 604 has a six-stage pipeline and six execution units that can work in parallel, finishing up to six instructions every cycle. Two simple and one complex integer units , one floating-point unit , one branch-processing unit managing out-of-order execution and one load/store unit. It has separate 16 KB data and instruction L1 caches. The external interface

#396603